class gen_item_seq extends uvm_sequence; `uvm_object_utils(gen_item_seq) functionnew(string name="gen_item_seq"); super.new(name); endfunction
randint num; // Config total number of items to be sent
constraint c1 { soft num inside {[2:5]}; }
virtualtask body(); for (int i = 0; i < num; i ++) begin reg_item m_item = reg_item::type_id::create("m_item"); start_item(m_item); m_item.randomize(); `uvm_info("SEQ", $sformatf("Generate new item: "), UVM_LOW) m_item.print(); finish_item(m_item); end `uvm_info("SEQ", $sformatf("Done generation of %0d items", num), UVM_LOW) endtask endclass
class reg_item extends uvm_sequence_item; randbit [`ADDR_WIDTH-1:0] addr; randbit [`DATA_WIDTH-1:0] wdata; randbit wr; bit [`DATA_WIDTH-1:0] rdata;
// Use utility macros to implement standard functions // like print, copy, clone, etc `uvm_object_utils_begin(reg_item) `uvm_field_int (addr, UVM_DEFAULT) `uvm_field_int (wdata, UVM_DEFAULT) `uvm_field_int (rdata, UVM_DEFAULT) `uvm_field_int (wr, UVM_DEFAULT) `uvm_object_utils_end
virtualfunctionvoid build_phase(uvm_phase phase); super.build_phase(phase); if (!uvm_config_db#(virtual reg_if)::get(this, "", "reg_vif", vif)) `uvm_fatal("MON", "Could not get vif") sema4 = new(1); mon_analysis_port = new ("mon_analysis_port", this); endfunction
virtualtask run_phase(uvm_phase phase); super.run_phase(phase); // This task monitors the interface for a complete // transaction and writes into analysis port when complete foreverbegin @ (posedge vif.clk); if (vif.sel) begin reg_item item = new; item.addr = vif.addr; item.wr = vif.wr; item.wdata = vif.wdata;
if (!vif.wr) begin @(posedge vif.clk); item.rdata = vif.rdata; end `uvm_info(get_type_name(), $sformatf("Monitor found packet %s", item.convert2str()), UVM_LOW) mon_analysis_port.write(item); // 发送数据 end end endtask endclass
class test extends uvm_test; `uvm_component_utils(test) functionnew(string name = "test", uvm_component parent=null); super.new(name, parent); endfunction
env e0; virtual reg_if vif;
virtualfunctionvoid build_phase(uvm_phase phase); super.build_phase(phase); e0 = env::type_id::create("e0", this); if (!uvm_config_db#(virtual reg_if)::get(this, "", "reg_vif", vif)) `uvm_fatal("TEST", "Did not get vif")
//=================================== //输出结果: //UVM_INFO @O: reporter[RNTST] Running test test 1... //UVM_INFO @O: uvm_test_top.c1 [SETVAL] vif.enable is O before set //UVM_INFO @o: uvm_test_top.c1 [SETVAL] vif.enable is 1 after set
object传递:
class config1 extends uvm_object; int val1 = 1; int str1 = "null"; `uvm_object_utils(config1) ... endclass
//================================= class comp1 extends uvm_component; uvm_component_utils(comp1) config1 cfg; ... functionvoid build_phase(uvm_phase phase) ; uvm_object tmp; uvm_config_db#(uvm_object)::get(this, "", "cfg", tmp); void'($cast(cfg, tmp)); `uvm_info("SETVAL", $sformatf("cfg.val1 is %d after get", cfg.val1), UVM_LOW) `uvm_info("SETVAL", $sformatf("cfg.str1 is %s after get", cfg.str1) , UVM_LOW) endfunction endclass